首页 专利交易 科技果 科技人才 科技服务 国际服务 商标交易 会员权益 IP管家助手 需求市场 关于龙图腾
 /  免费注册
到顶部 到底部
清空 搜索

Chisel断言语言的类SVA扩展及形式化验证方法 

买专利卖专利找龙图腾,真高效! 查专利查商标用IPTOP,全免费!专利年费监控用IP管家,真方便!

申请/专利权人:中国科学院软件研究所

摘要:本发明公开了一种Chisel断言语言的类SVA扩展及形式化验证方法,其步骤包括:1将SVA支持的若干算子引入Chisel断言语言中,得到断言语言中对应的扩展算子;2接收用户编写的算子序列;3将Chisel硬件设计代码编译成FIRRTL,并利用FIRRTL生成设计代码的迁移系统;4在FIRRTL层次生成相应的Büchi自动机;5将结构调整后的自动机翻译到FIRRTL的迁移系统上,得到断言转换后的迁移系统;6将转换后的迁移系统与硬件设计对应的迁移系统做同步得到一全局迁移系统;7根据全局迁移系统生成btor2文件;8对btor2文件进行模型检测,完成对断言所描述性质的形式化验证。

主权项:1.一种Chisel断言语言的类SVA扩展及形式化验证方法,其步骤包括:1将SVA支持的若干算子引入Chisel断言语言中,得到Chisel断言语言中对应的扩展算子;2接收用户利用Chisel断言语言中的算子及所述扩展算子编写的算子序列;所述算子序列为待验证的Chisel断言;3将Chisel硬件设计对应的代码编译成Chisel的中间表示语言FIRRTL,并利用所述中间表示语言FIRRTL生成所述Chisel硬件设计对应的迁移系统;所述中间表示语言FIRRTL包括HighFIRRTL和LowFIRRTL;利用FIRRTL提供的Annotation对所述算子序列进行编译并维护所述Chisel硬件设计的代码和所述算子序列的同名信号一致性;4在FIRRTL层次对编译后的所述算子序列添加部分语义信息,得到所述算子序列刻画的时序性质,以字符串的形式作为中间输出,并调用外部工具Spot接收所述字符串生成相应的Büchi自动机;5调整Büchi自动机的结构,使得Büchi自动机中的每个状态在其对应的输入信息固定时只有唯一的后继状态,然后将结构调整后的Büchi自动机翻译到FIRRTL的迁移系统上,得到所述Chisel断言转换后的迁移系统;6将所述Chisel断言转换后的迁移系统与所述Chisel硬件设计对应的迁移系统利用步骤3维护的信号一致性做同步,得到一全局FIRRTL迁移系统;7将所述全局FIRRTL迁移系统是否为空这一活性性质编码成安全性质,以适应所选硬件模型检测工具;然后将所述全局FIRRTL迁移系统编译成该所选硬件模型检测工具能够读取的btor2文件;8调用该所选硬件模型检测工具对所述btor2文件进行模型检测,完成对所述Chisel断言所描述性质的形式化验证。

全文数据:

权利要求:

百度查询: 中国科学院软件研究所 Chisel断言语言的类SVA扩展及形式化验证方法

免责声明
1、本报告根据公开、合法渠道获得相关数据和信息,力求客观、公正,但并不保证数据的最终完整性和准确性。
2、报告中的分析和结论仅反映本公司于发布本报告当日的职业理解,仅供参考使用,不能作为本公司承担任何法律责任的依据或者凭证。